Pascal and Francis Bibliographic Databases

Help

Search results

Your search

au.\*:("Levinson, Harry J")

Document Type [dt]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Publication Year[py]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Discipline (document) [di]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Author Country

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Results 1 to 25 of 193

  • Page / 8
Export

Selection :

  • and

Principles of lithographyLevinson, Harry J.2001, isbn 0-8194-4045-0, X, 373 p, isbn 0-8194-4045-0Book

Principles of lithographyLevinson, Harry J.2005, isbn 0-8194-5660-8, XII, 423 p, isbn 0-8194-5660-8Book

Optical microlithography XXII (24-27 February 2009, San Jose, California, United States)Levinson, Harry J; Dusa, Mircea V.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7274, issn 0277-786X, isbn 978-0-8194-7527-5 0-8194-7527-0, 2 vol, 2, isbn 978-0-8194-7527-5 0-8194-7527-0Conference Proceedings

Optical microlithography XXI (26-29 February 2008, San Jose, California, USA)Levinson, Harry J; Dusa, Mircea V.Proceedings of SPIE, the International Society for Optical Engineering. 2008, issn 0277-786X, isbn 978-0-8194-7109-3, 3 v, isbn 978-0-8194-7109-3Conference Proceedings

A manufacturing lithographic approach for high density MRAM device using KrF double mask patterning techniqueDANIEL LIU; TOM ZHONG; TOMG, Terry et al.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7274, issn 0277-786X, isbn 978-0-8194-7527-5 0-8194-7527-0, 72743F.1-72743F.7, 2Conference Paper

An innovative platform for high-throughput, high-accuracy lithography using a single wafer stageSHIBAZAKI, Yuichi; KOHNO, Hirotaka; HAMATANI, Masato et al.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7274, issn 0277-786X, isbn 978-0-8194-7527-5 0-8194-7527-0, 72741I.1-72741I.12, 2Conference Paper

Analysis and Modeling of Photomask Edge Effects for 3D Geometries and the Effect on Process WindowMILLER, Marshal A; NEUREUTHER, Andrew R.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7274, issn 0277-786X, isbn 978-0-8194-7527-5 0-8194-7527-0, 727424.1-727424.11, 2Conference Paper

PSM design for inverse lithography with partially coherent illuminationXU MA; ARCE, Gonzalo R.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7274, issn 0277-786X, isbn 978-0-8194-7527-5 0-8194-7527-0, 727437.1-727437.15, 2Conference Paper

Towards 3nm overlay and critical dimension uniformity : an integrated error budget for double patterning lithographyARNOLD, William H.Proceedings of SPIE, the International Society for Optical Engineering. 2008, pp 692404.1-692404.9, issn 0277-786X, isbn 978-0-8194-7109-3Conference Paper

A Novel Methodology for Hybrid Mask AF Generation For 22 and 15nm TechnologyYI ZOU; CAPODIECI, Luigi; TABERY, Cyrus et al.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7274, issn 0277-786X, isbn 978-0-8194-7527-5 0-8194-7527-0, 72741B.1-72741B.12, 2Conference Paper

Partially coherent image computation using elementary functionsSMITH, Arlene; BURVALL, Anna; DAINTY, Christopher et al.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7274, issn 0277-786X, isbn 978-0-8194-7527-5 0-8194-7527-0, 727434.1-727434.5, 2Conference Paper

Coupled eigenmode theory applied to thick mask modeling of TM polarized imagingALLEN, Gary; DAVIDS, Paul.Proceedings of SPIE, the International Society for Optical Engineering. 2008, pp 69244Z.1-69244Z.12, issn 0277-786X, isbn 978-0-8194-7109-3Conference Paper

Design Driven Test Patterns for OPC Models CalibrationAL-IMAM, Mohamed.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7274, issn 0277-786X, isbn 978-0-8194-7527-5 0-8194-7527-0, 727427.1-727427.9, 2Conference Paper

Design of Resist Stacks with Antireflection Coatings from the Viewpoint of Focus BudgetNAGAI, Satoshi; SATO, Kazuya.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7274, issn 0277-786X, isbn 978-0-8194-7527-5 0-8194-7527-0, 72741H.1-72741H.10, 2Conference Paper

Efficient hardware usage in the mask tapeout flowBOMAN, Mathias; BRIST, Travis; YONGDONG WANG et al.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7274, issn 0277-786X, isbn 978-0-8194-7527-5 0-8194-7527-0, 72742H.1-72742H.7, 2Conference Paper

Split, overlap/ stitching and process design for double patterning considering local reflectivity variation by using rigorous 3D wafer-topography/ lithography simulationKAMOHARA, Itaru; SCHMOELLER, Thomas.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7274, issn 0277-786X, isbn 978-0-8194-7527-5 0-8194-7527-0, 72740H.1-72740H.12, 2Conference Paper

An Efficient and Robust Mask Model for Lithography SimulationZHENHAI ZHU; SCHMIDT, Frank.Proceedings of SPIE, the International Society for Optical Engineering. 2008, pp 69243I.1-69243I.8, issn 0277-786X, isbn 978-0-8194-7109-3Conference Paper

In-situ polarimetry of illumination for 193-nm lithographyNOMURA, Hiroshi; FURUTONO, Yohko.Proceedings of SPIE, the International Society for Optical Engineering. 2008, pp 69241T.1-69241T.12, issn 0277-786X, isbn 978-0-8194-7109-3Conference Paper

32nm and below Logic Patterning using Optimized Illumination and Double PatterningSMAYLING, Michael C; AXELRAD, Valery.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7274, issn 0277-786X, isbn 978-0-8194-7527-5 0-8194-7527-0, 72740K.1-72740K.8, 2Conference Paper

Alternative Optical Technologies - More than curiosities?SMITH, Bruce W.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7274, issn 0277-786X, isbn 978-0-8194-7527-5 0-8194-7527-0, 727402.1-72702.10, 2Conference Paper

Automatic SRAF size optimization during OPCJAYARAM, Srividya; WORD, James.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7274, issn 0277-786X, isbn 978-0-8194-7527-5 0-8194-7527-0, 72742F.1-72742F.10, 2Conference Paper

Gridded Design Rule Scaling: Taking the CPU toward the 16nm nodeBENCHER, Christopher; DAI, Huixiong; YONGMEI CHEN et al.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7274, issn 0277-786X, isbn 978-0-8194-7527-5 0-8194-7527-0, 72740G.1-72740G.10, 2Conference Paper

Pattern matching assisted modeling test pattern generationLE HONG; QIAO LI; JIAN RAO et al.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7274, issn 0277-786X, isbn 978-0-8194-7527-5 0-8194-7527-0, 727429.1-727429.0, 2Conference Paper

Polarization Aberration Control for ArF Projection LensesMATSUYAMA, Tomoyuki; KITA, Naonori.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7274, issn 0277-786X, isbn 978-0-8194-7527-5 0-8194-7527-0, 72741M.1-72741M.12, 2Conference Paper

Scanner OPC signatures: automatic vendor-to-vendor OPE matchingRENWICK, Stephen P.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7274, issn 0277-786X, isbn 978-0-8194-7527-5 0-8194-7527-0, 72740Q.1-72740Q.12, 2Conference Paper

  • Page / 8